News Release: NY CREATES and CEA-Leti Announce Strategic Research Partnership

NY CREATES and CEA-Leti Announce Strategic Research Partnership

For Immediate Release: Tuesday, June 25, 2024

Contact:

Steve Ference |sference2@ny-creates.org | +1 (518) 424-6029

CEA-Leti Contact: Sarah-Lyle Dampoux | sldampoux@mahoneylyle.com | +33 6 74 93 23 47      

Trans-Atlantic Collaboration Starts with Focus on Enabling Next-Gen
Magnetic Memory Devices at the 300mm Wafer Scale

Albany, N.Y. and Grenoble, France – June 25, 2024 – NY CREATES and CEA-Leti today announced their strategic partnership that will initially focus on the research and co-development of magnetic memory devices, which are used to store computer data. These devices would be produced at the 300mm wafer scale, the industry-standard platform upon which computer chips are produced. The announcement was made during the annual Leti Innovation Days in Grenoble.

Officials from the New York Center for Research, Economic Advancement, Technology, Engineering, and Science (NY CREATES), based in Albany, N.Y., USA, and CEA-Leti, based in Grenoble, France, established this joint development agreement to build upon each other’s strengths in the areas related to memory device R&D. CEA-Leti will contribute its expertise in magnetics, spintronics, and the testing of related devices, and NY CREATES will provide the facilities, process integration expertise, and materials process development to run the 300mm silicon hardware.

“By expanding our partnership with CEA-Leti and applying their recognized expertise in device physics and architectures, NY CREATES looks forward to jointly developing innovative technologies for computing. While we are known for our world-class Albany NanoTech Complex with experts in R&D focus areas ranging from advanced logic technologies to heterogeneous integration and more, this initiative’s focus on novel memory is an area in which we can break barriers together,” said NY CREATES President Dave Anderson. “A first objective of this collaboration will be to develop novel magnetic memory architectures and integration. This international partnership will help to address present-day memory needs as computing power continues to progress, and we anticipate a fruitful collaboration.”

“This collaboration will allow CEA-Leti to expand its capability to validate innovative concepts in synergy with the various facilities we have in Grenoble,” said CEA-Leti CEO Sébastien Dauvé. “We strongly believe that our collaboration will be a key enabler for both NY CREATES and CEA-Leti to be much more efficient in the lab-to-fab transition and to better impact our respective local ecosystems with more mature innovations.”

NY CREATES and CEA-Leti Announce Strategic Research Partnership

More specifically, the first joint research effort seeks to demonstrate two novel forms of memory devices: Spin Orbit Torque (SOT) Magnetoresistive Random Access Memory (MRAM), and Spin Transfer Torque (STT) MRAM. While both forms of MRAM manipulate different materials’ magnetization to store data, STT MRAM offers non-volatile memory with almost no power leakage; SOT MRAM is considered faster and more efficient.

Leaders of both R&D organizations expect the two-year collaboration to leverage NY CREATES’ 300mm wafer R&D ecosystem centered at its Albany NanoTech Complex, the most advanced non-profit semiconductor R&D facility in North America. CEA-Leti will provide its world-class engineering services and know-how to produce working memory elements on 300mm wafers.

###############

About NY CREATES

NY CREATES serves as a lab-to-fab bridge for advanced electronics, fostering public-private and industry-academic partnerships for technology development and innovation. NY CREATES attracts and leads industry-connected innovation and commercialization projects that secure significant investment, advance R&D in emerging technologies, and generate the jobs of tomorrow. NY CREATES runs some of the most advanced facilities in the world, boasts more than 2,700 industry experts and faculty, and manages public and private investments of more than $20 billion—placing it at the global epicenter of high-tech innovation and commercialization. Learn more at www.ny-creates.org.  

About CEA-Leti

CEA-Leti, a technology research institute at CEA, is a global leader in miniaturization technologies enabling smart, energy-efficient and secure solutions for industry. Founded in 1967, CEA-Leti pioneers micro-& nanotechnologies, tailoring differentiating applicative solutions for global companies, SMEs and startups. CEA-Leti tackles critical challenges in healthcare, energy and digital migration. From sensors to data processing and computing solutions, CEA-Leti’s multidisciplinary teams deliver solid expertise, leveraging world-class pre-industrialization facilities. With a staff of more than 2,000 talents, a portfolio of 3,200 patents, 11,000 sq. meters of cleanroom space and a clear IP policy, the institute is based in Grenoble, France, and has offices in Silicon Valley, Brussels and Tokyo. CEA-Leti has launched 76 startups and is a member of the Carnot Institutes network. Follow us on www.leti-cea.com and @CEA_Leti.

Technological expertise

CEA has a key role in transferring scientific knowledge and innovation from research to industry. This high-level technological research is carried out in particular in electronic and integrated systems, from microscale to nanoscale. It has a wide range of industrial applications in the fields of transport, health, safety and telecommunications, contributing to the creation of high-quality and competitive products.

For more information: www.cea.fr/english 

News Coverage:

TimesTech: NY CREATES and CEA-Leti Announce Strategic Research Partnership

DataQuest: NY CREATES and CEA-Leti announce strategic research partnership

Semiconductor Digest: NY CREATES and CEA-Leti Announce Strategic Research Partnership

eletimes world: NY CREATES and CEA-Leti Announce Strategic Research Partnership

New electronics: NY CREATES and CEA-Leti unveil strategic research partnership

Silicon Investor: NY CREATES and CEA-Leti Announce Strategic Research Partnership

Global SMT & Packaging: NY CREATES and CEA-Leti unveil strategic research partnership

MRAM-info: CEA-Leti and NY CREATES to co-develop STT-MRAM and SOT-MRAM devices on 300 mm wafers

Electronics Specifier: NY CREATES and CEA-Leti announce partnership

Silicon Semiconductor: NY CREATES and CEA-Leti unveil strategic research partnership

EDA Café: CEA-Leti Announces Launch of FAMES Pilot Line As Part of EU Chips Act Initiative

My Science: NY CREATES and CEA-Leti unveil strategic research partnership

News Release: NY CREATES and Korea’s National Nano Fab Center Announce Research Partnership to Develop Joint Technology Hub

NY CREATES and Korea’s National Nano Fab Center Announce Research Partnership to Develop Joint Technology Hub

For Immediate Release: Monday, June 17, 2024

Contact:

Steve Ference |sference2@ny-creates.org | +1 (518) 424-6029

Eun Kyong Choi | ekchoi@nnfc.re.kr | +82 (42) 366-2061 

Albany, N.Y. and Daejeon, Korea – NY CREATES, a non-profit focused on semiconductor-based R&D, workforce development, and economic advancement, and the National Nano Fab Center, the leading public organization for providing semiconductor technology services in Korea, announced a partnership which aims to develop a shared hub for enabling joint research, aligned technology services, testbed support, and an engineer exchange program to bolster chips-centered R&D, workforce development, and each nation’s respective high-tech ecosystem.

Leaders from the New York Center for Research, Economic Advancement, Technology, Engineering, and Science (NY CREATES), based in Albany, New York, and the National Nano Fab Center, which is based in Daejeon, South Korea, met at a series of engagements in Korea in early May when NY CREATES President Dave Anderson and NNFC President Park Heung Soo ceremonially signed the agreement. The two organizations plan to work together to grow the U.S.—SouthKorea semiconductor research ecosystem, including the expansion of prototype production and demonstration. As part of the visit, NY CREATES leaders also took part in the 20th anniversary celebration of NNFC’s operations.

This partnership news follows U.S. Senate Majority Leader Charles Schumer’s announcement that he secured a commitment from South Korea President Yoon Suk Yeol for Korea to partner with NY CREATES for advanced semiconductor R&D at its Albany NanoTech Complex. This also builds upon a joint declaration signed in 2023 to promote collaboration and partnership between NY CREATES and the Korea Institute for Advancement of Technology (KIAT). Such partnerships highlight NY CREATES’ fast-growing collaborative network, amplifying its role as a premier global center for semiconductor research and as an ideal major hub for the National Semiconductor Technology Center (NSTC) which is to be established under the U.S. CHIPS & Science Act.

“This exciting new partnership between NY CREATES and Korea’s National Nano Fab Center will further strengthen New York’s booming semiconductor hub. When I met with President Yoon last year, he personally agreed to growing Korea’s partnerships with New York, including in the microchip industry, and now we are going to hit the ground running towards a shared vision of the future powered by an innovation economy we are building together,” said Senator Schumer. “International partnerships like this show why Albany NanoTech is best positioned to be a major hub of the National Semiconductor Technology Center created by my CHIPS & Science Law. By creating a shared hub for semiconductor research, workforce development, and more, this partnership marks another step forward on Upstate NY’s collaborative efforts to build out the region as a global chips leader.”

“NY CREATES is proud to congratulate the National Nano Fab Center as they celebrate 20 years of operations. Now, we look forward to developing a collaborative hub for technology development services and testbed support that will leverage the capabilities of our globally recognized Albany NanoTech Complex, America’s most advanced 300mm semiconductor research center, and the resources of the NNFC’s state-of-the-art facilities,” said NY CREATES President Dave Anderson. “This global partnership will identify synergistic areas of research and promote advancements in the semiconductor field that are critical for our allied nations to ensure continued technological strength.”

“We are very pleased to announce the collaboration of NNFC and NY CREATES as joint semiconductor technology hubs for the industry and semiconductor researchers in Korea and the U.S. We will actively support bilateral semiconductor research and open up new opportunities for the industry,” said NNFC President Park Heung Soo. 

At a conference held May 9 in Seoul, NY CREATES met with key members of the Korean semiconductor industry and their partners. There, more than 200 participants heard NY CREATES leadership team present an overview about the organization’s world-class capabilities and its related business opportunities. In addition, nearly three dozen companies participated in separate face-to-face business discussions with the NY CREATES business team, leading to active discussions with multiple Korean semiconductor companies large and small about potential collaboration.

Following the Seoul visit, NY CREATES’ leadership team visited Korea Advanced Institute of Science and Technology (KAIST) in Daejeon, Korea, to tour the campus and participate in NNFC’s 20thanniversary celebration. A number of Korean dignitaries attended, including Vice Minister of Science and ICT Lee Chang Yoon and KAIST President Lee Kwang-Hyung. 

Paul Kelly, NY CREATES Vice President of Strategies, Partnerships, & New Ventures and Chief Operating Officer presented to the more than 300 participants, sharing that while NY CREATES’ name indicates the organization is based in New York State, “we are focused on enabling meaningful global partnerships.”

This latest international partnership announcement also follows New York Governor Kathy Hochul’s December announcement: a $10 billion partnership composed of IBM, Micron, Applied Materials, Tokyo Electron, and others to establish a next-generation semiconductor research and development center at NY CREATES’ Albany NanoTech Complex. Once built, New York’s High NA EUV Lithography Center will position the state as a destination for research and development of innovative chip technology and foster international partnerships as world-renowned research organizations expand their presence in the U.S.

###############

About NY CREATES

NY CREATES serves as a lab-to-fab bridge for advanced electronics, fostering public-private and industry-academic partnerships for technology development and innovation. NY CREATES attracts and leads industry-connected innovation and commercialization projects that secure significant investment, advance R&D in emerging technologies, and generate the jobs of tomorrow. NY CREATES runs some of the most advanced facilities in the world, boasts more than 2,700 industry experts and faculty, and manages public and private investments of more than $20 billion—placing it at the global epicenter of high-tech innovation and commercialization. Learn more at www.ny-creates.org.  

About National Nano Fab Center

NNFC started as a KAIST-affiliated institution, located on the KAIST campus in Daejeon, South Korea. NNFC was established in May 2004 and this year marks its 20th anniversary. Since 2014, NNFC has been affiliated to Ministry of Science and ICT in Korea, providing technology services with advanced facilities in the field of semiconductor, nano-bio, MEMS and display, etc. NNFC also fosters semiconductor top talents, especially for college graduates. As NNFC stared its service in 2005, the total number of R&D services by NNFC is more than 220,000 and about 8,900 institutions including academia and industry have used the NNFC technical services and facilities. NNFC provides top-notch technical services with 200mm and 300mm semiconductor equipment as well as various MEMS tools, growing into a global leading public institution for supporting R&D activities and commercialization at home and abroad. Learn more at www.nnfc.re.kr/eng/

###

News Coverage:

Times Union: NY CREATES to partner with South Korean version of Albany NanoTech

Pulse: Korea, U.S. collaborate on advanced fab R&D

TJB News: Nanotechnology Institute-Signed technical cooperation with ‘NY Creates’ in the United States|

EMS Now: NY CREATES And Korea’s National Nano Fab Center Announce Research Partnership To Develop Joint Technology Hub

Governor Hochul News Release: Governor Hochul and Minister Schreinemacher of the Netherlands Establish Partnership to Strengthen International Semiconductor Opportunities Between New York and the Netherlands

Governor Hochul and Minister Schreinemacher of the Netherlands Establish Partnership to Strengthen International Semiconductor Opportunities Between New York and the Netherlands

Read Governor Hochul’s Press Release Here 

During Royal Visit by Their Majesties King Willem-Alexander and Queen Máxima of the Netherlands, Governor and Netherlands Minister Sign Memorandum of Understanding To Advance Shared Goals for the Future of Semiconductor Manufacturing

Establishes New Program To Sponsor up to Five SUNY Students’ Attendance at Prestigious Eindhoven Semicon Summer School Program in the Netherlands

Governor Also Hosts NY/Netherlands Semicon Forum at NY CREATES’ Albany NanoTech Complex to Convene Semiconductor Businesses, Researchers and Supply Chain Partners

Builds on Governor’s Commitment to Creating a Modern, 21st Century Economy in New York State

Governor Kathy Hochul and Netherlands Minister for Foreign Trade and Development Cooperation Liesje Schreinemacher today announced a new partnership to strengthen innovation and collaboration in the semiconductor industry between the Netherlands and New York State during the royal visit by Their Majesties King Willem-Alexander and Queen Máxima of the Netherlands. The partnership, formalized in a memorandum of understanding signed today by Governor Hochul and Minister Schreinemacher recognizes the entities’ shared interest in promoting sustainability within the semiconductor industry, enhancing joint workforce development opportunities, and advancing semiconductor research and development. As part of this new partnership, the Governor also announced a new state program to sponsor up to five SUNY students’ attendance at the prestigious Eindhoven Semiconductor Summer School program this summer in the Netherlands.

“This partnership with our Dutch counterparts will help to take New York’s chips transformation to the next level,” Governor Hochul said. “New York and the Netherlands share a belief that the countries that lead the semiconductor renaissance will chart the path forward for the rest of the world. With this MOU, we are doubling down on our commitment to putting collaboration, innovation, and sustainability front and center in our effort to build the next generation of semiconductor technology and create high-tech, good-paying jobs.”

Netherlands Minister for Foreign Trade and Development Cooperation Liesje Schreinemacher said, “As leaders in semiconductor and integrated photonics development, New York State and the Netherlands are home to some of the most innovative companies, engineers, and researchers in the world. Of course we are very proud of this achievement, and, at the same time, there remains room for growth. As friends and allies, our countries can work together and pave the way for new business opportunities, greater innovation, and increased job opportunities, ultimately leading to a healthier, more prosperous and more sustainable society.”

The MOU, which was signed during the New York/Netherlands Semicon Forum at NY CREATES’ Albany NanoTech Complex, will allow for the exchange of best practices between New York and the Netherlands to drive innovative and sustainable solutions in semiconductor R&D and manufacturing. These might include innovative measures to bolster the semiconductor supply chain, as well as making strides to maximize power efficiency, water efficiency, and the development of new materials.

 

This new partnership also unlocks opportunities for academic collaboration between New York and Dutch universities, including a new New York State program to sponsor up to five SUNY students’ attendance at the Eindhoven Semiconductor Summer School at the Technical University of Eindhoven in the Netherlands, 5-day intensive program for STEM Masters students led by leading Dutch academic and industrial partnerships.

The announcement follows the New York/Netherlands Semicon Forum at NY CREATES’ Albany NanoTech Complex, which convened more than 100 semiconductor businesses, research and development centers, higher education institutions, policymakers, and ecosystem partners from the Netherlands and New York State to discuss how the two can cooperate to build strong, resilient, and sustainable supply chains. Sessions were held on key topics, including workforce development, environmental sustainability, and international collaboration.

“We are doubling down on our commitment to putting collaboration, innovation, and sustainability front and center in our effort to build the next generation of semiconductor technology and create high-tech, good-paying jobs.”

-Governor Kathy Hochul

President of NY CREATES Dave Anderson said, “On behalf of NY CREATES, I am honored to host Their Majesties, the King and Queen of the Netherlands, today at our Albany NanoTechComplex, the largest and most advanced non-profit semiconductor R&D facility in North America to showcase New York State’s growing innovation ecosystem enabled by Governor Hochul’s strategic investments. Our 20-year partnership with Dutch companies ASM and ASML has been marked by incredible technological accomplishments and impactful collaborations, and I am enthusiastic about our collective journey while we continue to foster innovation and develop the next generation of semiconductor technologies together.”

Empire State Development President, CEO and Commissioner Hope Knight said, “New York State is home to some of the world’s top companies and most dynamic innovators from around the globe, and we are excited to further strengthen our strategic partnership with the Netherlands. Together, through this agreement, we will grow cutting edge businesses – especially in the semiconductor industry – and open the door to new ideas, research and talent that will create a world of opportunities.”

Governor’s Office of Semiconductor Expansion, Management, and Integration SVP & Executive Director Merideth Andreucci said, “It was an honor to participate in the New York/Netherlands Semicon Forum, and this partnership between the Netherlands and New York State will strengthen innovation and collaboration in the semiconductor industry. Today’s discussions and event exemplify our commitment to knowledge sharing, learning and adopting best practices in sustainable manufacturing with our Dutch partners.”

Governor Hochul’s Innovation Agenda

The partnership builds on Governor Hochul’s commitment to driving innovation across New York State to attract major employers and create good-paying, 21st century jobs. As part of her FY25 Enacted Budget, the Governor secured a $275 million investment in Empire AI, a consortium of seven founding institutions that will create and launch a state-of-the-art artificial intelligence computing center in Buffalo that will put New York State at the cutting-edge of AI research.

Governor Hochul also signed New York’s historic Green CHIPS legislation to drive semiconductor research, development, and manufacturing in New York State and announced a $10 billion partnership to bring next-generation chips research to NY CREATES’ Albany NanoTech Complex. By leveraging federal CHIPS and Science Act incentive opportunities with state funding, the Governor’s initiatives have unlocked historic investments from the semiconductor industry, including a $100 billion investment from Micron to build a world-class chipmaking facility and create 50,000 jobs in Central New York, as well as investments from GlobalFoundries, IBM, TTM Technologies, and other semiconductor and supply chain businesses.

In addition, the Governor has continued to advance a $620 million Life Science Initiative to support innovation in biomedical research. And through strategic investments like the $113.7 million Battery-NY initiative, Governor Hochul has fueled the growth of the sustainability, green technology, and energy storage economies in New York State.

The Governor’s innovation agenda has catalyzed major public and private investments, transforming New York’s economy and creating good-paying jobs of the future. GlobalFoundriesrecently announced an $11.6 billion investment to expand its chip manufacturing campus in New York’s Capital Region, creating 1,500 direct jobs and thousands of indirect jobs. In 2022, Micron announced a 20-year, $100 billion investment to create a megafab campus in Central New York, creating 50,000 new direct and indirect jobs and unlocking hundreds of millions of dollars in community benefits. The Governor’s Life Science Initiative helped to solidify New York’s selection for the $300 million Chan Zuckerberg Biohub New York, a biomedical research hub in New York City, and significant investments from Schrödinger, Inc., Deerfield Discovery and Development, and other life sciences businesses. Earlier this year, the National Science Foundation also announced a $160 million investment in Binghamton University’s New Energy New York Storage Engine to establish a hub for innovation, technology translation, and workforce development to grow the capacity of the domestic battery industry.

News Coverage

WAMC: Dutch royals to renew ties with visit to Albany

WYNT/NewsChannel 13: Netherlands king, queen visit nanotech campus in Albany for new partnership

Times Union: Albany’s Dutch connections get a royal look

CBS6 News: Royal family tours Albany’s NY CREATES, ignites US-Netherlands semiconductor pact

Spectrum News: Hochul and Dutch minister announce high-tech partnership during king, queen visit

News10 ABC: Dutch King and Queen visit Albany

Erie News Now: Albany receives a royal visit from the Netherlands

NY Times: A Royal Visit to the City That Was Once Called New Amsterdam (paywall)

Saratogian: Hochul, Netherlands Foreign Trade minister announce semiconductor partnership (paywall) 

Times Union: After 400 years, Dutch still bringing innovation to Albany

News Release: NY CREATES and TetraMem Forge Strategic Partnership to Advance Domestic Semiconductor Manufacturing Technology

NY CREATES and TetraMem Forge Strategic Partnership to Advance Domestic Semiconductor Manufacturing Technology

For Immediate Release: Tuesday, June 4, 2024

Contact:

Steve Ference | sference2@ny-creates.org | +1 (518) 424-6029

David George | david.george@tetramem.com | +1 (341) 348-4544

Successful Partnership Provides High-Tech Company an Opportunity to Upscale Business and Provide Expanded Domestic Technology Options

Albany, N.Y. – NY CREATES and TetraMem announced today that their partnership has led to the successful upscaling of TetraMem’s disruptive in-memory computing technologies from a 200mm wafer platform to the 300mm silicon platform, the foundation upon which next-gen AI computer chips are built. This positive collaboration has not only marked a turning point in TetraMem’s technology growth potential, but also underscores the transformative power of NY CREATES’ Albany NanoTech Complex, the most advanced non-profit 300mm R&D facility in the U.S.

Officials from the New York Center for Research, Economic Advancement, Technology, Engineering, and Science (NY CREATES) and TetraMem, a world-leader in analog in-memory computing technology based in Fremont, California, strategically established this partnership to enable fabrication of TetraMem’s innovative technologies utilizing state-of-the-art semiconductor equipment at the Albany NanoTech Complex.

“We are thrilled to announce the tangible achievement resulting from our partnership with TetraMem, which showcases NY CREATES’ commitment to driving innovation and scalability within the semiconductor landscape,” said Dave Anderson, NY CREATES President. “This engagement speaks volumes about NY CREATES’ ability to assist high-tech organizations of all sizes in transitioning their technologies from lab to fab, which is also a critical goal of the U.S. CHIPS and Science Act as it aims to strengthen the broader semiconductor ecosystem through strategic investments.” 

TetraMem’s unique, brain-inspired, analog-RRAM-based in-memory computing technology changes the physics of how AI computations are performed. We required an innovative partner like NY CREATES to prove the scalability and manufacturability of our novel multilevel memristive devices to launch a new era in computing,” noted Dr. Glenn Ning Ge, TetraMem CEO and Co-founder. “Now the new memory is the computer. Together, we are delivering U.S. manufacturing of a disruptive technological advance that will help realize our GenAI future, and the billions of dollars that will add to the U.S. economy.”

The effort has been supported by the expertise of NY CREATES’ engineering staff and allows for the transfer of the processes used at the Albany NanoTech Complex to a foundry partner for mass manufacturing. Notably, this includes the successful adaptation of TetraMem’s technology to 300mm wafers. This represents a substantial leap from the previous 200mm format, with the same level of performance as published by TetraMem and partners in Nature, March 30, 2023. A smaller scale improves memory density, which enables higher yield and more cost-effective production.

Through the implementation of custom fabrication processes and the demonstration of a split-fab model, whereby companies can develop and test their computer chips before seamlessly mass producing them at a second, outside fab, this announcement successfully highlights how technology companies can navigate past scale-up challenges toward the ramp-up to full scale manufacturing.

“Our collaboration with TetraMem emphasizes the benefit of strategic partnerships in driving technological innovation for smaller businesses,” said Dr. Christopher Borst, Vice President of Technology and Infrastructure. “By combining TetraMem’s computing technology and using NY CREATES’ state-of-the-art tools and process development expertise at the Albany NanoTech Complex, we are proud to highlight this split-fab effort, which lays the foundation for future advancements utilizing our prototype-to-foundry  enabling model.”

“With NY CREATES, TetraMem is positioned to deliver revolutionary efficiency and speed in AI computing for the next generation of edge devices. Our technology will unlock new capabilities for AI without telecommunications and data center dependencies for IoT, enterprises, and U.S. government customers,” said Gary Miner, TetraMem Executive Vice President.

###############

About NY CREATES

NY CREATES serves as a lab-to-fab bridge for advanced electronics, fostering public-private and industry-academic partnerships for technology development and innovation. NY CREATES attracts and leads industry-connected innovation and commercialization projects that secure significant investment, advance R&D in emerging technologies, and generate the jobs of tomorrow. NY CREATES runs some of the most advanced facilities in the world, boasts more than 2,700 industry experts and faculty, and manages public and private investments of more than $20 billion—placing it at the global epicenter of high-tech innovation and commercialization. Learn more at www.ny-creates.org.  

About TetraMem

Founded in 2018 by a team of world-class experts, TetraMem is poised to deliver the industry’s most disruptive in-memory computing (IMC) technology for edge applications. The TetraMem team brings together complementary skill sets and technological know-how with 35 patents granted to date spanning materials science, device, circuit design, architecture, and application, as well as a patented six-dimension co-design methodology. TetraMem’s technology was featured in the March 30, 2023, edition of Nature and February 23, 2024, edition of Science. This groundbreaking technology enables memory-based computation, eliminating weight-data movement, substantially improving the energy efficiency and performance of AI and machine learning workloads, with scalability well beyond the limits of competing analog technologies. For more information, please visit https://www.tetramem.com. Follow TetraMem on LinkedIn.

###

News Coverage:

Times Union: NY CREATES helps AI chipmaker TetraMem with manufacturing