NY CREATES Featured in News Release: Governor Hochul and Majority Leader Schumer Announce Start of Construction for Edwards Vacuum’s $319 Million Semiconductor Supply Chain Facility in Genesee County

Governor Hochul and Majority Leader Schumer Announce Start of Construction for Edwards Vacuum’s $319 Million Semiconductor Supply Chain Facility in Genesee County

 

NY CREATES was recognized in the latest NYS Governor’s press release, as Governor Hochul and Majority Leader Schumer announce the commencement of construction for Edwards Vacuum’s groundbreaking $319 million semiconductor supply chain facility in Genesee County, NY. As a pivotal partner in advancing New York State’s semiconductor ecosystem, NY CREATES celebrates this significant milestone, poised to further bolster the state’s workforce development and economic growth.

 

View Governor Hochul’s Press Release Here

NY CREATES Pres. Dave Anderson’s Statement on Micron’s CHIPS Act Announcement

NY CREATES Pres. Dave Anderson Statement on Micron’s CHIPS Act Announcement

“NY CREATES applauds today’s announcement regarding our partner, Micron, receiving $6.1 billion in CHIPS Act grants to support new fabs, including those to be built in New York State. With such announcements, what we are seeing is a landmark moment in the advancement of semiconductor manufacturing, R&D and innovation-focused workforce development in the U.S. Micron’s expansion in New York is made possible by Governor Hochul’s leadership establishing the region as a semiconductor hub, and by Senate Majority Leader Schumer’s trailblazing U.S. CHIPS Act, which is strategically investing in America’s high-tech future. President Biden’s visit to the site of Micron’s future fabs underscores the significance of this transformative project, and we simultaneously look forward to Micron’s collaboration and involvement with NY CREATES’ recently announced High NA EUV Center. This fast-growing ecosystem is propelling the U.S. into a new technological era and driving economic prosperity.” 

– Dave Anderson

President, NY CREATES

View Micron’s News Release Here

View Governor Hochul’s Press Release Here

Gov. Hochul News Release: $500 Million Capital Investment at NY CREATES’ Albany Nanotech Complex to Jumpstart $10 Billion Partnership


As part of the FY 2025 Budget, Governor Hochul has secured a $500 million capital investment for NY CREATES’ Albany Nanotech Complex to jumpstart a $10 billion partnership and bring a cutting-edge High NA EUV Lithography Center to the Complex. The Governor announced the partnership with IBM, Micron, Applied Materials, Tokyo Electron, and other leaders from the semiconductor industry last year as part of her commitment to establishing a global hub for semiconductor manufacturing in New York. Once completed, this new center will build on other semiconductor-related investments to make New York home to the first publicly owned High NA EUV Lithography Center in North America, support the long-term growth of New York’s tech economy, and create and retain thousands of direct, indirect, and union construction jobs.

Read News Release Here

Spectrum News: Vet S.T.E.P. by NY CREATES aims to help veterans get employment in technology industry

Spectrum News

“The semiconductor industry is hiring veterans. A program called VET S.T.E.P. aims to help veterans get employment in the technology industry, and training is ongoing at NY Creates in upstate New York. 

It’s an exciting time for technology and the semiconductor industry for veterans and active-duty military personnel. Some are working to provide opportunities where these individuals can use the skills and discipline obtained through their military experience. So, funded by the National Science Foundation and hosted by NY Creates, this is a 10-week program with hands-on training at the Albany Nanotech Complex.”

Watch the story here

 

NY CREATES’ Albany NanoTech Complex Featured in ‘Schumer Brings Key Japanese Semiconductor Supply Chain Companies to Capitol to Pitch Expanding in Upstate New York’ News Release

Image of the CESTM Building

April 15, 2024

NY CREATES’ Albany NanoTech Complex was featured in ‘Schumer Brings Key Japanese Semiconductor Supply Chain Companies to Capitol to Pitch Expanding in Upstate New York’ news release, as a New York State-led delegation of companies and nonprofits is in Asia this week for meetings with Asian delegations to create high-tech opportunities.

View Sen. Majority Leader Schumer’s News Release Here

Read the Times Union article, ‘Schumer urges Japanese chip suppliers to invest in upstate New York’ here.

News Release: NY CREATES and C2MI Announce Partnership to Support Collaborative Research, Economic Growth, and Workforce Development

Image of the cleanroom

For Immediate Release: Tuesday, April 2, 2024

Contact:
Steve Ference |sference2@ny-creates.org | +1 (518) 424-6029
Maryse Demers | maryse.demers@c2mi.ca | +1 (450) 534-8000 ext. 1000

Expanded Partnership Aims to Establish High-Tech U.S. – Canada Opportunities

Albany, N.Y. and Bromont (QC) – NY CREATES and MiQro Innovation Collaborative Centre – C2MI today announced a partnership between the two entities that will enhance research opportunities, expand potential for economic and workforce development, and nurture collaborative efforts between the two cross-border technology giants that are part of a growing and dynamic semiconductor corridor running from Upstate New York to the Eastern Townships in Canada.

Officials from the New York Center for Research, Economic Advancement, Technology, Engineering, and Science (NY CREATES), based in Albany, and C2MI (MiQro Innovation Collaborative Center), based in Bromont, Québec, Canada, established this expanded partnership to help spur future collaborations between the two entities.

“Leveraging the talent and resources at NY CREATES’ Albany NanoTech Complex, the nation’s most advanced public-private 300mm semiconductor research center, with the equally impressive C2MI complex in Bromont, Quebec, will further enable us to carry out NY CREATES’ mission to advance innovation-centered R&D opportunities, build additional workforce development pipelines, and foster economic development across the region and beyond,” said NY CREATES President Dave Anderson. “This partnership strengthens international semiconductor-focused relationships with the goal of helping to address the evolving needs of our industry partners in both the U.S. and Canada.”

“This exciting partnership opportunity with NY CREATES is a statement in both our regions for the future of the Northeast corridor for microelectronics as well as a strategic positioning of the North American semiconductor supply chain,” Marie-Josée Turgeon, President and CEO of C2MI. “The reinforcement of this corridor will provide rapid access to research opportunities and spark the growth we need in developing a robust, well-educated workforce.”

Officials from both NY CREATES and C2MI said they expect research projects will be pursued jointly, and staff and researchers will be able to participate in an exchange to help bolster mutual understanding. The two organizations expect to host joint symposia and conferences in the future.

The announcement builds on last year’s pledge between the United States and Canada to work together to create a bilateral semiconductor manufacturing corridor. U.S. President Joe Biden issued a joint pledge with Canadian Prime Minister Justin Trudeau to stand together and reduce their dependence on other countries for critical minerals and semiconductors.

###############

About NY CREATES
NY CREATES serves as a lab-to-fab bridge for advanced electronics, fostering public-private and industry-academic partnerships for technology development and innovation. NY CREATES attracts and leads industry-connected innovation and commercialization projects that secure significant investment, advance R&D in emerging technologies, and generate the jobs of tomorrow. NY CREATES runs some of the most advanced facilities in the world, boasts more than 2,700 industry experts and faculty, and manages public and private investments of more than $20 billion—placing it at the global epicenter of high-tech innovation and commercialization. Learn more at www.ny-creates.org.

About C2MI
C2MI is the largest R&D facility in Canada dedicated to hardware for digital technologies.  C2MI is at the core of all industrial sectors, integrating components essential to the use and deployment of digital technologies. Backed by a world-class infrastructure in the manufacturing fields of microelectromechanical system (MEMS), packaging and advanced packaging of semiconductors, C2MI is at the heart of technology development for tomorrow’s world. C2MI is strongly committed to be a unique model of collaboration where synergy between industrial and academic partners is necessary, even essential, to the development of new generation products. Visit: www.c2mi.ca.

###

News Coverage

News Release: NY CREATES’ Albany NanoTech Complex Earns TÜV SÜD AMERICA INC. ISO 9001:2015 Recertification

News Release: NY CREATES’ Albany NanoTech Complex Earns TÜV SÜD AMERICA INC. ISO 9001:2015 Recertification

For Immediate Release: Monday, March 25, 2024
Contact: Steve Ference | sference2@ny-creates.org | +1 (518) 424-6029

Assures Best Practices in Quality Management and Continuous Improvement

Albany, N.Y. – The New York Center for Research, Economic Advancement, Technology, Engineering, and Science (NY CREATES) announced today that its leading-edge semiconductor-focused research and development efforts taking place at its world-class Albany NanoTech Complex have successfully received ISO 9001:2015 recertification from TÜV SÜD AMERICA INC. This recertification provides assurance that NY CREATES’ quality management system consistently provides products and services which meet the stringent requirements of the internationally recognized ISO 9001 standard, which indicates strong top management, excellent customer focus, a process-driven approach, and continuous improvement.

“This ISO 9001:2015 recertification offers continued validation of NY CREATES’ 300mm wafer processing proficiency,” said Dave Anderson, NY CREATES president. “It is a strong validation of the reliable capabilities of our Albany NanoTech Complex, the expertise of our team, and the value we bring as a partner to our growing list of high-tech industry, academic, and government collaborations. This designation further shows that with the foundational processes we have in place, we are well prepared to support the expansion of innovation and prototyping efforts across the nation, including such initiatives as the National Semiconductor Technology Center and the National Advanced Packaging Manufacturing Program.”

“Receiving the recertification from TÜV SÜD after an in-depth audit is an important endorsement that our world-class 300mm cleanroom facilities and management adhere to the strictest standards. The test structures development and fabrication program–the scope of our certification–is a template upon which other current and future programs can be structured to meet the demands of our customers and partners,” said Dr. Christopher Borst, NY CREATES Vice President of Technology & Infrastructure. “I congratulate the NY CREATES team members whose diligence ensures NY CREATES’ continued execution to ISO requirements and commitment to internal assessment and improvement, which undoubtedly opens the door to additional opportunities in the future.”

NY CREATES has been certified to the ISO 9001 Standard for six years, with recertification covering the next three. By committing to uphold ISO 9001 standards, NY CREATES demonstrates compliance with all applicable statutory and regulatory requirements while supporting continual improvement. There are more than two million companies and organizations in over 170 countries certified to ISO 9001.

NY CREATES’ 300mm silicon wafer fabrication facilities at its Albany NanoTech Complex provide researchers and globally recognized partners, as well as small to medium enterprises and academic institutions, with an industry-compliant, fully integrated research, development, and prototyping line offering access to advanced tool sets. The ISO 9001:2015 quality management system certification shows current and future research partners that NY CREATES delivers consistent, high-quality services related to the fabrication of test structures on 300mm semiconductor wafers.

This announcement follows recent news by New York State Governor Kathy Hochul that NY CREATES is expanding its Albany NanoTech Complex to house North America’s first and only publicly owned High NA Extreme Ultraviolet Lithography Center as part of a $10 billion partnership with the State of New York and key members of industry, supporting the research and development of the world’s most complex and powerful semiconductors and building a new 50,000 square foot cleanroom facility at the site.

###############

About NY CREATES

NY CREATES serves as a lab-to-fab bridge for advanced electronics, fostering public-private and industry-academic partnerships for technology development and innovation. NY CREATES attracts and leads industry-connected innovation and commercialization projects that secure significant investment, advance R&D in emerging technologies, and generate the jobs of tomorrow. NY CREATES runs some of the most advanced facilities in the world, boasts more than 2,700 industry experts and faculty, and manages public and private investments of more than $20 billion—placing it at the global epicenter of high-tech innovation and commercialization. Learn more at www.ny-creates.org.

###

View AzoNano Coverage

NY CREATES Featured in CEG News Release: Capital Region Tech R&D Industry Sets New Employment Record

NY CREATES Featured in CEG News Release: Capital Region Tech R&D Industry Sets New Employment Record

CEG News Release

“Employment in the Capital Region’s high-tech R&D industry last summer surpassed an 18-year high, with Albany County driving that growth. This employment growth follows increases in R&D spending by local universities and businesses in the eight-county region, according to a Center for Economic Growth (CEG) analysis of data from the New York State Department of Labor and National Center for Science and Engineering Statistics (NCSES)…

“NY CREATES’ mission is to enable high-tech R&D, support related workforce development, and drive economic advancement. We are thrilled to see that the region’s high-tech R&D industry employment reached an 18-year high, showcasing how strategic investments in the innovation sector and national and international collaborations at NY CREATES’ Albany NanoTech Complex have tangible, positive impacts. But this is also just the beginning. Hundreds of additional jobs are expected at our Albany NanoTech Complex as part of the governor’s recent High NA EUV Center announcement. Combined with our ability to support U.S. CHIPS Act initiatives, we are working hard to continue this growth trajectory with our industry and academic partners, providing a model for the nation,” said NY CREATES President Dave Anderson.

Read the full news release here.

Watch CSIS livestream featuring Pres. Dave Anderson in “Albany NanoTech Complex: A National Asset”

CSIS livestream features Pres. Dave Anderson in “Albany NanoTech Complex: A National Asset”

Renewing American Innovation hosted Dave Anderson, President of NY CREATES, for a timely conversation on the establishment of the National Semiconductor Technology Center called for under the CHIPS Act, and how it can leverage the world-leading semiconductor research and development facilities at NY CREATES’ Albany NanoTech Complex. 

Charles Wessner, senior adviser to the Renewing American Innovation Project and Adjunct Professor at Georgetown University moderated the conversation.

Watch the Interview Here